vcd

Version 0.2.2 revision 0 uploaded by TomHawkins.

Package meta

Synopsis
Reading and writing VCD files.
Description

Defined by the Verilog standard, VCD (value change dump) is a file format for capturing digital and analog waveforms, typically from HDL simulation.

Author
Tom Hawkins <tomahawkins@gmail.com>
Bug reports
n/a
Category
Data, Hardware, Embedded
Copyright
n/a
Homepage
http://tomahawkins.org
Maintainer
Tom Hawkins <tomahawkins@gmail.com>
Package URL
n/a
Stability
n/a

Components